Publications

2020

Chai, X., H. Wu, Z. Gan, Y. Zhang, Y. Chen, and K. W. Nixon. “An efficient visually meaningful image compression and encryption scheme based on compressive sensing and dynamic LSB embedding.” Optics and Lasers in Engineering 124 (January 1, 2020). https://doi.org/10.1016/j.optlaseng.2019.105837.

Wang, Shiqin, Yuting Cao, Tingwen Huang, Yiran Chen, Peng Li, and Shiping Wen. “Sliding mode control of neural networks via continuous or periodic sampling event-triggering algorithm.” Neural Networks : The Official Journal of the International Neural Network Society 121 (January 2020): 140–47. https://doi.org/10.1016/j.neunet.2019.09.001.

Inkawhich, M., Y. Chen, and H. Li. “Snooping attacks on deep reinforcement learning.” In Proceedings of the International Joint Conference on Autonomous Agents and Multiagent Systems, AAMAS, 2020-May:557–65, 2020.

Chen, F., L. Song, H. H. Li, and Y. Chen. “PARC: A Processing-in-CAM Architecture for Genomic Long Read Pairwise Alignment using ReRAM.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2020-January:175–80, 2020. https://doi.org/10.1109/ASP-DAC47756.2020.9045555.

Xie, Z., G. Q. Fang, Y. H. Huang, H. Ren, Y. Zhang, B. Khailany, S. Y. Fang, J. Hu, Y. Chen, and E. C. Barboza. “FIST: A Feature-Importance Sampling and Tree-Based Method for Automatic Design Flow Parameter Tuning.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2020-January:19–25, 2020. https://doi.org/10.1109/ASP-DAC47756.2020.9045201.

Xu, Y., Y. Li, S. Zhang, W. Wen, B. Wang, Y. Qi, Y. Chen, W. Lin, and H. Xiong. “TRP: Trained rank pruning for efficient deep neural networks.” In IJCAI International Joint Conference on Artificial Intelligence, 2021-January:977–83, 2020.

Song, L., F. Chen, Y. Chen, and H. H. Li. “Parallelism in Deep Learning Accelerators.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2020-January:645–50, 2020. https://doi.org/10.1109/ASP-DAC47756.2020.9045206.

Xie, Z., H. Ren, B. Khailany, Y. Sheng, S. Santosh, J. Hu, and Y. Chen. “PowerNet: Transferable Dynamic IR Drop Estimation via Maximum Convolutional Neural Network.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2020-January:13–18, 2020. https://doi.org/10.1109/ASP-DAC47756.2020.9045574.

Inkawhich, N., K. J. Liang, B. Wang, M. Inkawhich, L. Carin, and Y. Chen. “Perturbing across the feature hierarchy to improve standard and strict blackbox attack transferability.” In Advances in Neural Information Processing Systems, Vol. 2020-December, 2020.

Zhang, T., H. P. Cheng, Z. Li, F. Yan, C. Huang, H. Li, and Y. Chen. “AutoShrink: A topology-aware NAS for discovering efficient neural architecture.” In AAAI 2020 - 34th AAAI Conference on Artificial Intelligence, 6829–36, 2020.

Yang, C., H. Li, Y. Chen, and J. Hu. “Enhancing Generalization of Wafer Defect Detection by Data Discrepancy-aware Preprocessing and Contrast-varied Augmentation.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2020-January:145–50, 2020. https://doi.org/10.1109/ASP-DAC47756.2020.9045391.

Ye, X., P. Dai, J. Luo, X. Guo, Y. Qi, J. Yang, and Y. Chen. “Accelerating CNN Training by Pruning Activation Gradients.” In Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 12370 LNCS:322–38, 2020. https://doi.org/10.1007/978-3-030-58595-2_20.

Li, S., E. Hanson, H. Li, and Y. Chen. “PENNI: Pruned kernel sharing for efficient cnn inference.” In 37th International Conference on Machine Learning, ICML 2020, PartF168147-8:5819–29, 2020.

Chen, Y. “2020: Looking Forward to the Next Decade [From the Editor].” IEEE Circuits and Systems Magazine 20, no. 1 (January 1, 2020): 3. https://doi.org/10.1109/MCAS.2019.2962260.

Ho, T. Y., S. Tan, and Y. Chen. “Message from the Technical Program Committee.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2020-January:2, 2020. https://doi.org/10.1109/ASP-DAC47756.2020.9045704.

Taylor, B., Z. Li, B. Yan, H. Li, and Y. Chen. “Highly efficient neuromorphic computing systems with emerging nonvolatile memories.” In Proceedings of SPIE - The International Society for Optical Engineering, Vol. 11324, 2020. https://doi.org/10.1117/12.2554915.

Wen, W., H. Liu, Y. Chen, H. Li, G. Bender, and P. J. Kindermans. “Neural Predictor for Neural Architecture Search.” In Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 12374 LNCS:660–76, 2020. https://doi.org/10.1007/978-3-030-58526-6_39.

2019

Li, B., M. Mao, X. Liu, T. Liu, Z. Liu, W. Wen, Y. Chen, and H. H. Li. “Thread batching for high-performance energy-efficient GPU memory design.” ACM Journal on Emerging Technologies in Computing Systems 15, no. 4 (December 1, 2019). https://doi.org/10.1145/3330152.

Yan, B., M. Liu, Y. Chen, K. Chakrabarty, and H. Li. “On Designing Efficient and Reliable Nonvolatile Memory-Based Computing-In-Memory Accelerators.” In Technical Digest - International Electron Devices Meeting, IEDM, Vol. 2019-December, 2019. https://doi.org/10.1109/IEDM19573.2019.8993562.

Xu, Y., Y. Li, S. Zhang, W. Wen, B. Wang, W. Dai, Y. Qi, Y. Chen, W. Lin, and H. Xiong. “Trained Rank Pruning for Efficient Deep Neural Networks.” In Proceedings - 5th Workshop on Energy Efficient Machine Learning and Cognitive Computing, EMC2-NIPS 2019, 14–17, 2019. https://doi.org/10.1109/EMC2-NIPS53020.2019.00011.

Zhang, J., H. Yang, F. Chen, Y. Wang, and H. Li. “Exploring Bit-Slice Sparsity in Deep Neural Networks for Efficient ReRAM-Based Deployment.” In Proceedings - 5th Workshop on Energy Efficient Machine Learning and Cognitive Computing, EMC2-NIPS 2019, 1–5, 2019. https://doi.org/10.1109/EMC2-NIPS53020.2019.00008.

Sangvai, Devdutta G., and Anthony J. Viera. Preface. Vol. 46, 2019. https://doi.org/10.1016/j.pop.2019.09.001.

Song, L., Y. Wu, X. Qian, H. Li, and Y. Chen. “ReBNN: in-situ acceleration of binarized neural networks in ReRAM using complementary resistive cell.” CCF Transactions on High Performance Computing 1, no. 3–4 (December 1, 2019): 196–208. https://doi.org/10.1007/s42514-019-00014-8.

Li, A., C. Wu, Y. Chen, and B. Ni. “An efficient mobile-edge collaborative system for video photorealistic style transfer.” In Proceedings of the 4th ACM/IEEE Symposium on Edge Computing, SEC 2019, 344–45, 2019. https://doi.org/10.1145/3318216.3363332.

Li, A., C. Wu, Y. Chen, and B. Ni. “Poster abstract: An efficient edge-assisted mobile system for video photorealistic style transfer.” In Proceedings of the 4th ACM/IEEE Symposium on Edge Computing, SEC 2019, 332–33, 2019. https://doi.org/10.1145/3318216.3364545.