Publications

2024

Wang, Binghui, Minhua Lin, Tianxiang Zhou, Pan Zhou, Ang Li, Meng Pang, Hai Li, and Yiran Chen. “Efficient, Direct, and Restricted Black-Box Graph Evasion Attacks to Any-Layer Graph Neural Networks via Influence Function.” In Proceedings of the 17th ACM International Conference on Web Search and Data Mining. ACM, 2024. https://doi.org/10.1145/3616855.3635826.

Chang, C. C., J. Pan, Z. Xie, T. Zhang, J. Hu, and Y. Chen. “Toward Fully Automated Machine Learning for Routability Estimator Development.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 43, no. 3 (March 1, 2024): 970–82. https://doi.org/10.1109/TCAD.2023.3330818.

Yang, X., Z. Wang, X. S. Hu, C. H. Kim, S. Yu, M. Pajic, R. Manohar, Y. Chen, and H. H. Li. “Neuro-Symbolic Computing: Advancements and Challenges in Hardware-Software Co-Design.” IEEE Transactions on Circuits and Systems II: Express Briefs 71, no. 3 (March 1, 2024): 1683–89. https://doi.org/10.1109/TCSII.2023.3336251.

Xiao, H., X. Hu, T. Gao, Y. Zhou, S. Duan, and Y. Chen. “Efficient Low-Bit Neural Network With Memristor-Based Reconfigurable Circuits.” IEEE Transactions on Circuits and Systems II: Express Briefs 71, no. 1 (January 1, 2024): 66–70. https://doi.org/10.1109/TCSII.2023.3298910.

Li, S., Y. Wang, E. Hanson, A. Chang, Y. S. Ki, H. H. Li, and Y. Chen. “NDRec: A Near-Data Processing System for Training Large-Scale Recommendation Models.” IEEE Transactions on Computers, January 1, 2024. https://doi.org/10.1109/TC.2024.3365939.

Chen, Y., S. Banerjee, S. Daily, J. Krolik, H. Li, D. Limbrick, M. Pajic, R. Runton, and L. Zhong. “Athena – The NSF AI Institute for Edge Computing.” AI Magazine, January 1, 2024. https://doi.org/10.1002/aaai.12147.

2023

Kim, B., and H. Li. “Monolithic 3D stacking for neural network acceleration.” Nature Electronics 6, no. 12 (December 1, 2023): 937–38. https://doi.org/10.1038/s41928-023-01098-5.

Li, H. H. “Guest Editorial Special Issue on the International Symposium on Integrated Circuits and Systems'ISICAS 2023.” IEEE Transactions on Circuits and Systems I: Regular Papers 70, no. 12 (December 1, 2023): 4678–4678. https://doi.org/10.1109/TCSI.2023.3331873.

Song, L., F. Chen, H. Li, and Y. Chen. “ReFloat: Low-Cost Floating-Point Processing in ReRAM for Accelerating Iterative Linear Solvers.” In Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, SC 2023, 2023. https://doi.org/10.1145/3581784.3607077.

Hanson, E., S. Li, G. Zhou, F. Cheng, Y. Wang, R. Bose, H. H. Li, and Y. Chen. “Si-Kintsugi: Towards Recovering Golden-Like Performance of Defective Many-Core Spatial Architectures for AI.” In Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 2023, 972–85, 2023. https://doi.org/10.1145/3613424.3614278.

Gao, Z., Y. Chen, and T. Chen. “Swirls: Sniffing Wi-Fi Using Radios with Low Sampling Rates.” In Proceedings of the International Symposium on Mobile Ad Hoc Networking and Computing (MobiHoc), 260–69, 2023. https://doi.org/10.1145/3565287.3610279.

Wang, Y., S. Li, Q. Zheng, A. Chang, H. Li, and Y. Chen. “EMS-i: An Efficient Memory System Design with Specialized Caching Mechanism for Recommendation Inference.” ACM Transactions on Embedded Computing Systems 22, no. 5 s (September 9, 2023). https://doi.org/10.1145/3609384.

Li, Hai, and Brady Taylor. “A Hardware and Software Co-design Framework for Energy Efficient Neuromorphic Systems.” Office of Scientific and Technical Information (OSTI), July 5, 2023. https://doi.org/10.2172/1985762.

Yang, X., H. Yang, J. R. Doppa, P. P. Pande, K. Chakrabartys, and H. Li. “ESSENCE: Exploiting Structured Stochastic Gradient Pruning for Endurance-Aware ReRAM-Based In-Memory Training Systems.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 42, no. 7 (July 1, 2023): 2187–99. https://doi.org/10.1109/TCAD.2022.3216546.

Li, Z., Q. Zheng, Y. Chen, and H. Li. “SpikeSen: Low-Latency In-Sensor-Intelligence Design With Neuromorphic Spiking Neurons.” IEEE Transactions on Circuits and Systems II: Express Briefs 70, no. 6 (June 1, 2023): 1876–80. https://doi.org/10.1109/TCSII.2023.3235888.

Zhang, T., D. Cheng, Y. He, Z. Chen, X. Dai, L. Xiong, F. Yan, H. Li, Y. Chen, and W. Wen. “NASRec: Weight Sharing Neural Architecture Search for Recommender Systems.” In ACM Web Conference 2023 - Proceedings of the World Wide Web Conference, WWW 2023, 1199–1207, 2023. https://doi.org/10.1145/3543507.3583446.

Joardar, B. K., J. R. Doppa, H. Li, K. Chakrabarty, and P. P. Pande. “ReaLPrune: ReRAM Crossbar-Aware Lottery Ticket Pruning for CNNs.” IEEE Transactions on Emerging Topics in Computing 11, no. 2 (April 1, 2023): 303–17. https://doi.org/10.1109/TETC.2022.3223630.

Xie, Z., J. Pan, C. C. Chang, J. Hu, and Y. Chen. “The Dark Side: Security and Reliability Concerns in Machine Learning for EDA.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 42, no. 4 (April 1, 2023): 1171–84. https://doi.org/10.1109/TCAD.2022.3199172.

Hanson, E., M. Horton, H. H. Li, and Y. Chen. “DefT: Boosting Scalability of Deformable Convolution Operations on GPUs.” In International Conference on Architectural Support for Programming Languages and Operating Systems - ASPLOS, 3:134–46, 2023. https://doi.org/10.1145/3582016.3582017.

Hanson, E., S. Li, X. Qian, H. H. Li, and Y. Chen. “DyNNamic: Dynamically Reshaping, High Data-Reuse Accelerator for Compact DNNs.” IEEE Transactions on Computers 72, no. 3 (March 1, 2023): 880–92. https://doi.org/10.1109/TC.2022.3184272.

Lyu, Bo, Shiping Wen, Yin Yang, Xiaojun Chang, Junwei Sun, Yiran Chen, and Tingwen Huang. “Designing Efficient Bit-Level Sparsity-Tolerant Memristive Networks.” IEEE Transactions on Neural Networks and Learning Systems PP (March 2023). https://doi.org/10.1109/tnnls.2023.3250437.

Augustine, C., and H. Li. “ISLPED 2022: An Experience of a Hybrid Conference in the Time of COVID-19.” IEEE Design and Test 40, no. 1 (February 1, 2023): 105–7. https://doi.org/10.1109/MDAT.2022.3208552.

Pang, Meng, Binghui Wang, Mang Ye, Yiu-Ming Cheung, Yiran Chen, and Bihan Wen. “DisP+V: A Unified Framework for Disentangling Prototype and Variation From Single Sample per Person.” IEEE Transactions on Neural Networks and Learning Systems 34, no. 2 (February 2023): 867–81. https://doi.org/10.1109/tnnls.2021.3103194.

Chang, C. C., J. Pan, Z. Xie, J. Hu, and Y. Chen. “Rethink before Releasing Your Model: ML Model Extraction Attack in EDA.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 252–57, 2023. https://doi.org/10.1145/3566097.3567896.

Yang, X., S. Li, Q. Zheng, and Y. Chen. “Improving the Robustness and Efficiency of PIM-Based Architecture by SW/HW Co-Design.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 618–23, 2023. https://doi.org/10.1145/3566097.3568358.