Publications

2016

Liu, C., Y. Chen, and H. Li. “Neural processor design enabled by memristor technology.” In 2016 IEEE International Conference on Rebooting Computing, ICRC 2016 - Conference Proceedings, 2016. https://doi.org/10.1109/ICRC.2016.7738693.

Li, S., Y. Wang, W. Wen, Y. Chen, and H. Li. “A data locality-aware design framework for reconfigurable sparse matrix-vector multiplication kernel.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, Vol. 07-10-November-2016, 2016. https://doi.org/10.1145/2966986.2966987.

Nixon, K. W., X. Chen, and Y. Chen. “Scope - Quality retaining display rendering workload scaling based on user-smartphone distance.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, Vol. 07-10-November-2016, 2016. https://doi.org/10.1145/2966986.2967073.

Yang, C., B. Liu, H. Li, Y. Chen, W. Wen, M. Barnell, Q. Wu, and J. Rajendran. “Security of neuromorphic computing: Thwarting learning attacks using memristor's obsolescence effect.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, Vol. 07-10-November-2016, 2016. https://doi.org/10.1145/2966986.2967074.

Yang, C., C. Wu, H. Li, Y. Chen, M. Barnell, and Q. Wu. “Security challenges in smart surveillance systems and the solutions based on emerging nano-devices.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, Vol. 07-10-November-2016, 2016. https://doi.org/10.1145/2966986.2980092.

Sun, G., C. Zhang, P. Li, T. Wang, and Y. Chen. “Statistical Cache Bypassing for Non-Volatile Memory.” IEEE Transactions on Computers 65, no. 11 (November 1, 2016): 3427–40. https://doi.org/10.1109/TC.2016.2529621.

Chai, X. L., Z. H. Gan, Y. Lu, M. H. Zhang, and Y. R. Chen. “A novel color image encryption algorithm based on genetic recombination and the four-dimensional memristive hyperchaotic system.” Chinese Physics B 25, no. 10 (October 1, 2016). https://doi.org/10.1088/1674-1056/25/10/100503.

Li, H. H., Q. Qiu, and Y. Wang. “Guest Editorial: Design and Applications of Neuromorphic Computing System.” IEEE Transactions on Multi-Scale Computing Systems 2, no. 4 (October 1, 2016): 223–24. https://doi.org/10.1109/TMSCS.2016.2631918.

Wu, C., H. P. Cheng, S. Li, H. H. Li, and Y. Chen. “ApesNet: A pixel-wise efficient segmentation network.” In Proceedings of the 14th ACM/IEEE Symposium on Embedded Systems for Real-Time Multimedia, ESTIMedia 2016, 2–8, 2016. https://doi.org/10.1145/2993452.2994306.

Guo, J., C. Min, T. Cai, and Y. Chen. “A design to reduce write amplification in object-based NAND flash devices.” In Proceedings of the 11th IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES 2016, 2016. https://doi.org/10.1145/2968456.2968465.

Cheng, H. P., W. Wen, C. Song, B. Liu, H. Li, and Y. Chen. “Exploring the optimal learning technique for IBM TrueNorth platform to overcome quantization loss.” In Proceedings of the 2016 IEEE/ACM International Symposium on Nanoscale Architectures, NANOARCH 2016, 185–90, 2016. https://doi.org/10.1145/2950067.2950096.

Li, H. H., and X. Li. “Message from the general chairs.” In Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, 2016-September:xvii, 2016. https://doi.org/10.1109/ISVLSI.2016.4.

Liu, C., Q. Yang, B. Yan, J. Yang, X. Du, W. Zhu, H. Jiang, Q. Wu, M. Barnell, and H. H. Li. “A memristor crossbar based computing engine optimized for high speed and accuracy.” In Proceedings of IEEE Computer Society Annual Symposium on VLSI, ISVLSI, 2016-September:110–15, 2016. https://doi.org/10.1109/ISVLSI.2016.46.

Qiu, Q., Z. Li, K. Ahmed, W. Liu, S. F. Habib, H. Li, and M. Hu. “A Neuromorphic Architecture for Context Aware Text Image Recognition.” Journal of Signal Processing Systems 84, no. 3 (September 1, 2016): 355–69. https://doi.org/10.1007/s11265-015-1067-4.

Guo, J., C. Min, T. Cai, H. Li, and Y. Chen. “ObjNandSim: Object-based NAND flash device simulator.” In 2016 5th Non-Volatile Memory Systems and Applications Symposium, NVMSA 2016, 2016. https://doi.org/10.1109/NVMSA.2016.7547179.

Li, Z., X. Bi, H. H. Li, Y. Chen, J. Qin, P. Guo, W. Kong, et al. “Design and Implementation of a 4Kb STT-MRAM with Innovative 200nm Nano-ring Shaped MTJ.” In Proceedings of the International Symposium on Low Power Electronics and Design, 4–9, 2016. https://doi.org/10.1145/2934583.2934611.

Dallal, Ahmed H., Ahmed H. Yiran Chen, Douglas Weber, and Douglas Zhi-Hong Mao. “Dictionary learning for sparse representation and classification of neural spikes.” In Annual International Conference of the IEEE Engineering in Medicine and Biology Society. IEEE Engineering in Medicine and Biology Society. Annual International Conference, 2016:3486–89, 2016. https://doi.org/10.1109/embc.2016.7591479.

Chakraborty, S., S. Joshi, Q. Xia, H. Li, Y. Chen, H. Jiang, Q. Wu, M. Barnell, and J. J. Yang. “Built-in selectors self-assembled into memristors.” In Proceedings - IEEE International Symposium on Circuits and Systems, 2016-July:181–84, 2016. https://doi.org/10.1109/ISCAS.2016.7527200.

Jiang, H., W. Zhu, F. Luo, K. Bai, C. Liu, X. Zhang, J. J. Yang, Q. Xia, Y. Chen, and Q. Wu. “Cyclical sensing integrate-and-fire circuit for memristor array based neuromorphic computing.” In Proceedings - IEEE International Symposium on Circuits and Systems, 2016-July:930–33, 2016. https://doi.org/10.1109/ISCAS.2016.7527394.

Bayram, I., E. Eken, X. Wang, X. Sun, T. P. Ma, and Y. Chen. “Adaptive refreshing and read voltage control scheme for FeDRAM.” In Proceedings - IEEE International Symposium on Circuits and Systems, 2016-July:1154–57, 2016. https://doi.org/10.1109/ISCAS.2016.7527450.

Yan, B., A. M. Mahmoud, J. J. Yang, Q. Wu, Y. Chen, and H. H. Li. “A neuromorphic ASIC design using one-selector-one-memristor crossbar.” In Proceedings - IEEE International Symposium on Circuits and Systems, 2016-July:1390–93, 2016. https://doi.org/10.1109/ISCAS.2016.7527509.

Li, S., X. Liu, M. Mao, H. H. Li, Y. Chen, B. Li, and Y. Wang. “Heterogeneous systems with reconfigurable neuromorphic computing accelerators.” In Proceedings - IEEE International Symposium on Circuits and Systems, 2016-July:125–28, 2016. https://doi.org/10.1109/ISCAS.2016.7527186.

Liu, B., C. Yang, H. Li, Y. Chen, Q. Wu, and M. Barnell. “Security of neuromorphic systems: Challenges and solutions.” In Proceedings - IEEE International Symposium on Circuits and Systems, 2016-July:1326–29, 2016. https://doi.org/10.1109/ISCAS.2016.7527493.

Yan, B., Y. Zhang, E. Eken, W. Wen, W. Zhao, and Y. Chen. “Recent progresses of STT memory design and applications.” In Proceedings - 2015 IEEE 11th International Conference on ASIC, ASICON 2015, 2016. https://doi.org/10.1109/ASICON.2015.7517038.

Pyle, S. D., H. Li, and R. F. DeMara. “Compact low-power instant store and restore D flip-flop using a selfcomplementing spintronic device.” Electronics Letters 52, no. 14 (July 7, 2016): 1238–40. https://doi.org/10.1049/el.2015.4114.