Publications

2022

Lin, X., J. Pan, J. Xu, Y. Chen, and C. Zhuo. “Lithography Hotspot Detection via Heterogeneous Federated Learning with Local Adaptation.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2022-January:166–71, 2022. https://doi.org/10.1109/ASP-DAC52403.2022.9712491.

Tang, M., X. Ning, Y. Wang, J. Sun, H. Li, and Y. Chen. “FedCor: Correlation-Based Active Client Selection Strategy for Heterogeneous Federated Learning.” In Proceedings of the IEEE Computer Society Conference on Computer Vision and Pattern Recognition, 2022-June:10092–101, 2022. https://doi.org/10.1109/CVPR52688.2022.00986.

Zhang, Youhui, Yiran Chen, and Yuanyuan Mi. “Editorial: Machine learning for computational neural modeling and data analyses.” Frontiers in Computational Neuroscience 16 (January 2022): 978192. https://doi.org/10.3389/fncom.2022.978192.

Li, B., H. Lv, Y. Wang, and Y. Chen. “Security Threat to the Robustness of RRAM-based Neuromorphic Computing System.” In Proceedings - 2022 IEEE International Symposium on Smart Electronic Systems, ISES 2022, 267–71, 2022. https://doi.org/10.1109/iSES54909.2022.00061.

2021

Fang, H., B. Taylor, Z. Li, Z. Mei, H. H. Li, and Q. Qiu. “Neuromorphic Algorithm-hardware Codesign for Temporal Pattern Learning.” In Proceedings - Design Automation Conference, 2021-December:361–66, 2021. https://doi.org/10.1109/DAC18074.2021.9586133.

Huang, T., Y. Chen, Z. Zeng, and L. Chua. “Editorial Special Issue for 50th Birthday of Memristor Theory and Application of Neuromorphic Computing Based on Memristor - Part II.” IEEE Transactions on Circuits and Systems I: Regular Papers 68, no. 12 (December 1, 2021): 4835–36. https://doi.org/10.1109/TCSI.2021.3124407.

Li, A., J. Sun, X. Zeng, M. Zhang, H. Li, and Y. Chen. “FedMask: Joint Computation and Communication-Efficient Personalized Federated Learning via Heterogeneous Masking.” In SenSys 2021 - Proceedings of the 2021 19th ACM Conference on Embedded Networked Sensor Systems, 42–55, 2021. https://doi.org/10.1145/3485730.3485929.

Wen, S., W. Liu, Y. Yang, P. Zhou, Z. Guo, Z. Yan, Y. Chen, and T. Huang. “Multilabel Image Classification via Feature/Label Co-Projection.” IEEE Transactions on Systems, Man, and Cybernetics: Systems 51, no. 11 (November 1, 2021): 7250–59. https://doi.org/10.1109/TSMC.2020.2967071.

Huang, T., Y. Chen, Z. Zeng, and L. Chua. “Editorial Special Issue for 50th Birthday of Memristor Theory and Application of Neuromorphic Computing Based on Memristor - Part i.” IEEE Transactions on Circuits and Systems I: Regular Papers 68, no. 11 (November 1, 2021): 4417–18. https://doi.org/10.1109/TCSI.2021.3115842.

Joardar, Biresh Kumar, Janardhan Rao Doppa, Hai Li, Krishnendu Chakrabarty, and Partha Pratim Pande. “Learning to Train CNNs on Faulty ReRAM-based Manycore Accelerators.” ACM Transactions on Embedded Computing Systems 20, no. 5s (October 31, 2021): 1–23. https://doi.org/10.1145/3476986.

Li, S., E. Hanson, X. Qian, H. H. Li, and Y. Chen. “ESCALATE: Boosting the efficiency of sparse CNN accelerator with kernel decomposition.” In Proceedings of the Annual International Symposium on Microarchitecture, MICRO, 992–1004, 2021. https://doi.org/10.1145/3466752.3480043.

Xie, Z., X. Xu, M. Walker, J. Knebel, K. Palaniswamy, N. Hebert, J. Hu, H. Yang, Y. Chen, and S. Das. “APOLLO: An automated power modeling framework for runtime power introspection in high-volume commercial microprocessors.” In Proceedings of the Annual International Symposium on Microarchitecture, MICRO, 1–14, 2021. https://doi.org/10.1145/3466752.3480064.

Yang, Q., J. Mao, Z. Wang, and L. Hai. “Dynamic Regularization on Activation Sparsity for Neural Network Efficiency Improvement.” ACM Journal on Emerging Technologies in Computing Systems 17, no. 4 (October 1, 2021). https://doi.org/10.1145/3447776.

Chen, Y., Q. Qiu, and Y. Lin. “Introduction to the Special Issue on Hardware and Algorithms for Efficient Machine Learning-Part 2.” ACM Journal on Emerging Technologies in Computing Systems 17, no. 4 (October 1, 2021). https://doi.org/10.1145/3464917.

Wang, B., J. Guo, A. Li, Y. Chen, and H. Li. “Privacy-Preserving Representation Learning on Graphs: A Mutual Information Perspective.” In Proceedings of the ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, 1667–76, 2021. https://doi.org/10.1145/3447548.3467273.

Wang, Tao, Patrick Koch, Brett Wujek, Jun Liu, and Hai Li. “The Fifth International Workshop on Automation in Machine Learning.” In Proceedings of the 27th ACM SIGKDD Conference on Knowledge Discovery & Data Mining. ACM, 2021. https://doi.org/10.1145/3447548.3469452.

Yang, C., L. Ding, Y. Chen, and H. Li. “Defending against GAN-based DeepFake Attacks via Transformation-aware Adversarial Faces.” In Proceedings of the International Joint Conference on Neural Networks, Vol. 2021-July, 2021. https://doi.org/10.1109/IJCNN52387.2021.9533868.

Mao, J., H. Yang, A. Li, H. Li, and Y. Chen. “TPrune: Efficient Transformer Pruning for Mobile Devices.” ACM Transactions on Cyber-Physical Systems 5, no. 3 (July 1, 2021). https://doi.org/10.1145/3446640.

Zhang, J., Y. Huang, H. Yang, M. Martinez, G. Hickman, J. Krolik, and H. Li. “Efficient FPGA Implementation of a Convolutional Neural Network for Radar Signal Processing.” In 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems, AICAS 2021, 2021. https://doi.org/10.1109/AICAS51828.2021.9458573.

Chai, X., X. Zhi, Z. Gan, Y. Zhang, Y. Chen, and J. Fu. “Combining improved genetic algorithm and matrix semi-tensor product (STP) in color image encryption.” Signal Processing 183 (June 1, 2021). https://doi.org/10.1016/j.sigpro.2021.108041.

Hu, W., C. H. Chang, A. Sengupta, S. Bhunia, R. Kastner, and H. Li. “An Overview of Hardware Security and Trust: Threats, Countermeasures, and Design Tools.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 40, no. 6 (June 1, 2021): 1010–38. https://doi.org/10.1109/TCAD.2020.3047976.

Wen, S., M. Dong, Y. Yang, P. Zhou, T. Huang, and Y. Chen. “End-to-End Detection-Segmentation System for Face Labeling.” IEEE Transactions on Emerging Topics in Computational Intelligence 5, no. 3 (June 1, 2021): 457–67. https://doi.org/10.1109/TETCI.2019.2947319.

Li, A., J. Guo, H. Yang, F. D. Salim, and Y. Chen. “DeepObfuscator: Obfuscating Intermediate Representations with Privacy-Preserving Adversarial Learning on Smartphones.” In IoTDI 2021 - Proceedings of the 2021 International Conference on Internet-of-Things Design and Implementation, 28–39, 2021. https://doi.org/10.1145/3450268.3453519.

Joardar, B. K., J. R. Doppa, P. P. Pande, H. Li, and K. Chakrabarty. “AccuReD: High Accuracy Training of CNNs on ReRAM/GPU Heterogeneous 3-D Architecture.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 40, no. 5 (May 1, 2021): 971–84. https://doi.org/10.1109/TCAD.2020.3013194.

Kim, B., E. Hanson, and H. Li. “An Efficient 3D ReRAM Convolution Processor Design for Binarized Weight Networks.” IEEE Transactions on Circuits and Systems II: Express Briefs 68, no. 5 (May 1, 2021): 1600–1604. https://doi.org/10.1109/TCSII.2021.3067840.