Publications

2020

Li, Z., B. Li, Z. Fan, and H. Li. “RED: A ReRAM-Based Efficient Accelerator for Deconvolutional Computation.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, no. 12 (December 1, 2020): 4736–47. https://doi.org/10.1109/TCAD.2020.2981055.

Lan, Y., K. W. Nixon, Q. Guo, G. Zhang, Y. Xu, H. Li, and Y. Chen. “FCDM: A Methodology Based on Sensor Pattern Noise Fingerprinting for Fast Confidence Detection to Adversarial Attacks.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, no. 12 (December 1, 2020): 4791–4804. https://doi.org/10.1109/TCAD.2020.2969982.

Li, B., Y. Wang, and Y. Chen. “HitM: High-Throughput ReRAM-based PIM for Multi-Modal Neural Networks.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, Vol. 2020-November, 2020. https://doi.org/10.1145/3400302.3415663.

Xie, Z., H. Li, X. Xu, J. Hu, and Y. Chen. “Fast IR Drop Estimation with Machine Learning : Invited Paper.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, Vol. 2020-November, 2020. https://doi.org/10.1145/3400302.3415763.

Liang, R., Z. Xie, J. Jung, V. Chauha, Y. Chen, J. Hu, H. Xiang, and G. J. Nam. “Routing-Free Crosstalk Prediction.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, Vol. 2020-November, 2020. https://doi.org/10.1145/3400302.3415712.

Zheng, Q., X. Li, Z. Wang, G. Sun, Y. Cai, R. Huang, Y. Chen, and H. Li. “MobiLattice: A Depth-wise DCNN Accelerator with Hybrid Digital/Analog Nonvolatile Processing-In-Memory Block.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, Vol. 2020-November, 2020. https://doi.org/10.1145/3400302.3415666.

Yang, X., B. Yan, H. Li, and Y. Chen. “ReTransformer: ReRAM-based Processing-in-Memory Architecture for Transformer Acceleration.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, Vol. 2020-November, 2020. https://doi.org/10.1145/3400302.3415640.

Chai, X., J. Bi, Z. Gan, X. Liu, Y. Zhang, and Y. Chen. “Color image compression and encryption scheme based on compressive sensing and double random encryption strategy.” Signal Processing 176 (November 1, 2020). https://doi.org/10.1016/j.sigpro.2020.107684.

Li, A., C. Wu, Y. Chen, and B. Ni. “MVStylizer: An efficient edge-assisted video photorealistic style transfer system for mobile phones.” In Proceedings of the International Symposium on Mobile Ad Hoc Networking and Computing (MobiHoc), 31–40, 2020. https://doi.org/10.1145/3397166.3409140.

Feng, D., J. Xu, Y. Hua, W. Tong, J. Liu, C. Li, and Y. Chen. “A Low-Overhead Encoding Scheme to Extend the Lifetime of Nonvolatile Memories.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, no. 10 (October 1, 2020): 2516–29. https://doi.org/10.1109/TCAD.2019.2962127.

Zhu, J., G. Sun, X. Zhang, C. Zhang, W. Zhang, Y. Liang, T. Wang, Y. Chen, and J. Di. “Fork Path: Batching ORAM Requests to Remove Redundant Memory Accesses.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, no. 10 (October 1, 2020): 2279–92. https://doi.org/10.1109/TCAD.2019.2948914.

Yang, C., B. Liu, H. Li, Y. Chen, M. Barnell, Q. Wu, W. Wen, and J. Rajendran. “Thwarting Replication Attack against Memristor-Based Neuromorphic Computing System.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 39, no. 10 (October 1, 2020): 2192–2205. https://doi.org/10.1109/TCAD.2019.2937817.

Wang, S., Y. Cao, S. Wen, Z. Guo, T. Huang, and Y. Chen. “Projective Synchroniztion of Neural Networks via Continuous/Periodic Event-Based Sampling Algorithms.” IEEE Transactions on Network Science and Engineering 7, no. 4 (October 1, 2020): 2746–54. https://doi.org/10.1109/TNSE.2020.2985409.

Chen, L., Z. He, C. Li, S. Wen, and Y. Chen. “Revisiting memristor properties.” International Journal of Bifurcation and Chaos 30, no. 12 (September 30, 2020). https://doi.org/10.1142/S0218127420501722.

Xie, X., S. Wen, Z. Yan, T. Huang, and Y. Chen. “Designing pulse-coupled neural networks with spike-synchronization-dependent plasticity rule: image segmentation and memristor circuit application.” Neural Computing and Applications 32, no. 17 (September 1, 2020): 13441–52. https://doi.org/10.1007/s00521-020-04752-7.

Gan, Z., X. Chai, J. Zhang, Y. Zhang, and Y. Chen. “An effective image compression–encryption scheme based on compressive sensing (CS) and game of life (GOL).” Neural Computing and Applications 32, no. 17 (September 1, 2020): 14113–41. https://doi.org/10.1007/s00521-020-04808-8.

Chen, Y., D. Fan, Y. Wang, and S. Yamashita. “Editorial for the special issue on disruptive computing technologies.” CCF Transactions on High Performance Computing 2, no. 3 (September 1, 2020): 209–10. https://doi.org/10.1007/s42514-020-00048-3.

Wen, W., F. Yan, Y. Chen, and H. Li. “AutoGrow: Automatic Layer Growing in Deep Convolutional Networks.” In Proceedings of the ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, 833–41, 2020. https://doi.org/10.1145/3394486.3403126.

Li, A., Y. Duan, H. Yang, Y. Chen, and J. Yang. “TIPRDC: Task-Independent Privacy-Respecting Data Crowdsourcing Framework for Deep Learning with Anonymized Intermediate Representations.” In Proceedings of the ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, 824–32, 2020. https://doi.org/10.1145/3394486.3403125.

Guo, Q., J. Ye, Y. Chen, Y. Hu, Y. Lan, G. Zhang, and X. Li. “INOR—An Intelligent noise reduction method to defend against adversarial audio examples.” Neurocomputing 401 (August 11, 2020): 160–72. https://doi.org/10.1016/j.neucom.2020.02.110.

Zhang, S., G. L. Zhang, B. Li, H. H. Li, and U. Schlichtmann. “Lifetime Enhancement for RRAM-based Computing-In-Memory Engine Considering Aging and Thermal Effects.” In Proceedings - 2020 IEEE International Conference on Artificial Intelligence Circuits and Systems, AICAS 2020, 11–15, 2020. https://doi.org/10.1109/AICAS48895.2020.9073995.

Yan, B., Z. Li, B. Taylor, H. Li, and Y. Chen. “Neuromorphic Computing Systems with Emerging Nonvolatile Memories: A Circuits and Systems Perspective.” In 2020 International Symposium on VLSI Technology, Systems and Applications, VLSI-TSA 2020, 122–23, 2020. https://doi.org/10.1109/VLSI-TSA48913.2020.9203744.

Yan, Zheng, Jiadong Chen, Rui Hu, Tingwen Huang, Yiran Chen, and Shiping Wen. “Training memristor-based multilayer neuromorphic networks with SGD, momentum and adaptive learning rates.” Neural Networks : The Official Journal of the International Neural Network Society 128 (August 2020): 142–49. https://doi.org/10.1016/j.neunet.2020.04.025.

Li, Z., B. Yan, and H. H. Li. “ReSiPE: ReRAM-based single-spiking processing-in-memory engine.” In Proceedings - Design Automation Conference, Vol. 2020-July, 2020. https://doi.org/10.1109/DAC18072.2020.9218578.

Song, C., H. P. Cheng, H. Yang, S. Li, C. Wu, Q. Wu, and H. Li. “Adversarial Attack: A New Threat to Smart Devices and How to Defend It.” IEEE Consumer Electronics Magazine 9, no. 4 (July 1, 2020): 49–55. https://doi.org/10.1109/MCE.2020.2969150.