Publications

2021

Joardar, B. K., J. R. Doppa, P. P. Pande, H. Li, and K. Chakrabarty. “AccuReD: High Accuracy Training of CNNs on ReRAM/GPU Heterogeneous 3-D Architecture.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 40, no. 5 (May 1, 2021): 971–84. https://doi.org/10.1109/TCAD.2020.3013194.

Kim, B., E. Hanson, and H. Li. “An Efficient 3D ReRAM Convolution Processor Design for Binarized Weight Networks.” IEEE Transactions on Circuits and Systems II: Express Briefs 68, no. 5 (May 1, 2021): 1600–1604. https://doi.org/10.1109/TCSII.2021.3067840.

Chai, X., H. Wu, Z. Gan, D. Han, Y. Zhang, and Y. Chen. “An efficient approach for encrypting double color images into a visually meaningful cipher image using 2D compressive sensing.” Information Sciences 556 (May 1, 2021): 305–40. https://doi.org/10.1016/j.ins.2020.10.007.

Rao, J., Z. Fan, L. Hong, S. Cheng, Q. Huang, J. Zhao, X. Xiang, et al. “An electroforming-free, analog interface-type memristor based on a SrFeOx epitaxial heterojunction for neuromorphic computing.” Materials Today Physics 18 (May 1, 2021). https://doi.org/10.1016/j.mtphys.2021.100392.

Chen, Y., Q. Qiu, and Y. Lin. “Introduction of Special Issue on Hardware and Algorithms for Efficient Machine LearningΓÇôPart 1.” ACM Journal on Emerging Technologies in Computing Systems 17, no. 2 (April 5, 2021). https://doi.org/10.1145/3449045.

Wang, C., D. Feng, W. Tong, Y. Hua, J. Liu, B. Wu, W. Zhao, et al. “Improving Multilevel Writes on Vertical 3-D Cross-Point Resistive Memory.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 40, no. 4 (April 1, 2021): 762–75. https://doi.org/10.1109/TCAD.2020.3006188.

Wang, C., D. Feng, W. Tong, J. Liu, B. Wu, W. Zhao, Y. Zhang, and Y. Chen. “Improving Write Performance on Cross-Point RRAM Arrays by Leveraging Multidimensional Non-Uniformity of Cell Effective Voltage.” IEEE Transactions on Computers 70, no. 4 (April 1, 2021): 566–80. https://doi.org/10.1109/TC.2020.2990884.

Wen, S., H. Wei, Y. Yang, Z. Guo, Z. Zeng, T. Huang, and Y. Chen. “Memristive LSTM Network for Sentiment Analysis.” IEEE Transactions on Systems, Man, and Cybernetics: Systems 51, no. 3 (March 1, 2021): 1794–1804. https://doi.org/10.1109/TSMC.2019.2906098.

Yang, Q., and H. Li. “BitSystolic: A 26.7 TOPS/W 2b8b NPU with Configurable Data Flows for Edge Devices.” IEEE Transactions on Circuits and Systems I: Regular Papers 68, no. 3 (March 1, 2021): 1134–45. https://doi.org/10.1109/TCSI.2020.3043778.

Sun, Bo, Yuting Cao, Zhenyuan Guo, Zheng Yan, Shiping Wen, Tingwen Huang, and Yiran Chen. “Sliding Mode Stabilization of Memristive Neural Networks With Leakage Delays and Control Disturbance.” IEEE Transactions on Neural Networks and Learning Systems 32, no. 3 (March 2021): 1254–63. https://doi.org/10.1109/tnnls.2020.2984000.

Chen, F., L. Song, H. Li, and Y. Chen. “Marvel: A Vertical Resistive Accelerator for Low-Power Deep Learning Inference in Monolithic 3D.” In Proceedings -Design, Automation and Test in Europe, DATE, 2021-February:1240–45, 2021. https://doi.org/10.23919/DATE51398.2021.9474208.

Chen, F., L. Song, H. H. Li, and Y. Chen. “RAISE: A Resistive Accelerator for Subject-Independent EEG Signal Classification.” In Proceedings -Design, Automation and Test in Europe, DATE, 2021-February:340–43, 2021. https://doi.org/10.23919/DATE51398.2021.9473993.

Ma, W., G. Xie, R. Li, W. Liu, H. H. Li, and W. Chang. “Efficient AUTOSAR-Compliant CAN-FD Frame Packing with Observed Optimality.” In Proceedings -Design, Automation and Test in Europe, DATE, 2021-February:1899–1904, 2021. https://doi.org/10.23919/DATE51398.2021.9473962.

Zhang, G. L., B. Li, X. Huang, C. Shen, S. Zhang, F. Burcea, H. Graeb, T. Y. Ho, H. Li, and U. Schlichtmann. “An Efficient Programming Framework for Memristor-based Neuromorphic Computing.” In Proceedings -Design, Automation and Test in Europe, DATE, 2021-February:1068–73, 2021. https://doi.org/10.23919/DATE51398.2021.9474084.

Liang, F., Z. Tian, M. Dong, S. Cheng, L. Sun, H. Li, Y. Chen, and G. Zhang. “Efficient neural network using pointwise convolution kernels with linear phase constraint.” Neurocomputing 423 (January 29, 2021): 572–79. https://doi.org/10.1016/j.neucom.2020.10.067.

Xie, Z., R. Liang, X. Xu, J. Hu, Y. Duan, and Y. Chen. “Net2: A Graph Attention Network Method Customized for Pre-Placement Net Length Estimation.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 671–77, 2021. https://doi.org/10.1145/3394885.3431562.

Zhang, S., H. H. Li, and U. Schlichtmann. “Connection-based Processing-In-Memory Engine Design Based on Resistive Crossbars.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 107–13, 2021. https://doi.org/10.1145/3394885.3431523.

Li, Hai “Helen.” “Brain Inspired Computing: The Extraordinary Voyages in Known and Unknown Worlds.” In 2021 IEEE INTERNATIONAL SYMPOSIUM ON SMART ELECTRONIC SYSTEMS (ISES 2021), XXXI–XXXII, 2021.

Zhang, S., H. Li, and U. Schlichtmann. “Peripheral Circuitry Assisted Mapping Framework for Resistive Logic-In-Memory Computing.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, Vol. 2021-November, 2021. https://doi.org/10.1109/ICCAD51958.2021.9643588.

Fang, L., Y. Chen, G. Zhai, J. Wang, R. Wang, and W. Dong. Preface. Vol. 13070 LNAI, 2021.

Cheng, H. P., T. Zhang, Y. Zhang, S. Li, F. Liang, F. Yan, M. Li, V. Chandra, H. Li, and Y. Chen. “NASGEM: Neural Architecture Search via Graph Embedding Method.” In 35th AAAI Conference on Artificial Intelligence, AAAI 2021, 8B:7090–98, 2021.

Gao, Z., A. Li, Y. Gao, B. Li, Y. Wang, and Y. Chen. “FedSwap: A Federated Learning based 5G Decentralized Dynamic Spectrum Access System.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, Vol. 2021-November, 2021. https://doi.org/10.1109/ICCAD51958.2021.9643496.

Chen, Y. “2021: The Greatest Reset [From the Editor].” IEEE Circuits and Systems Magazine 21, no. 1 (January 1, 2021): 4. https://doi.org/10.1109/MCAS.2020.3046386.

Li, A., J. Sun, B. Wang, L. Duan, S. Li, Y. Chen, and H. Li. “LotteryFL: Empower Edge Intelligence with Personalized and Communication-Efficient Federated Learning.” In 6th ACM/IEEE Symposium on Edge Computing, SEC 2021, 68–79, 2021. https://doi.org/10.1145/3453142.3492909.

Yang, H., L. Duan, Y. Chen, and H. Li. “BSQ: EXPLORING BIT-LEVEL SPARSITY FOR MIXED-PRECISION NEURAL NETWORK QUANTIZATION.” In ICLR 2021 - 9th International Conference on Learning Representations, 2021.