Publications

2012

Li, Y., Y. Chen, and A. K. Jones. “A software approach for combating asymmetries of non-volatile memories.” In Proceedings of the International Symposium on Low Power Electronics and Design, 191–96, 2012. https://doi.org/10.1145/2333660.2333708.

Zhang, Y., W. Wen, and Y. Chen. “STT-Ram cell design considering MTJ asymmetric switching.” SPIN 2, no. 3 (September 1, 2012). https://doi.org/10.1142/S2010324712400073.

Li, H. H., and Z. Sun. “Voltage driven nondestructive self-reference sensing for STT-Ram yield enhancement.” SPIN 2, no. 3 (September 1, 2012). https://doi.org/10.1142/S2010324712400085.

Hu, M., H. Li, Q. Wu, G. S. Rose, and Y. Chen. “Memristor crossbar based hardware realization of BSB recall function.” In Proceedings of the International Joint Conference on Neural Networks, 2012. https://doi.org/10.1109/IJCNN.2012.6252563.

Wang, H., H. Li, and R. E. Pino. “Memristor-based synapse design and training scheme for neuromorphic computing architecture.” In Proceedings of the International Joint Conference on Neural Networks, 2012. https://doi.org/10.1109/IJCNN.2012.6252577.

Wen, W., Y. Zhang, Y. Chen, Y. Wang, and Y. Xie. “PS3-RAM: A fast portable and scalable statistical STT-RAM reliability analysis method.” In Proceedings - Design Automation Conference, 1191–96, 2012. https://doi.org/10.1145/2228360.2228580.

Chen, X., J. Zheng, Y. Chen, M. Zhao, and C. J. Xue. “Quality-retaining OLED dynamic voltage scaling for video streaming applications on mobile devices.” In Proceedings - Design Automation Conference, 1000–1005, 2012. https://doi.org/10.1145/2228360.2228540.

Pino, R. E., H. Li, Y. Chen, M. Hu, and B. Liu. “Statistical memristor modeling and case study in neuromorphic computing.” In Proceedings - Design Automation Conference, 585–90, 2012. https://doi.org/10.1145/2228360.2228466.

Hu, M., H. Li, Q. Wu, and G. S. Rose. “Hardware realization of BSB recall function using memristor crossbar arrays.” In Proceedings - Design Automation Conference, 498–503, 2012. https://doi.org/10.1145/2228360.2228448.

Li, H., M. Hu, and R. Pino. “Statistical Memristor Model and Its Applications in Neuromorphic Computing.” In Advances in Neuromorphic Memristor Science and Applications, edited by R. Kozma, R. Pino, and G. Pazienza. Springer Science & Business Media, 2012.

Sun, Z., X. Chen, Y. Zhang, H. Li, and Y. Chen. “Nonvolatile memories as the data storage system for implantable ecg recorder.” ACM Journal on Emerging Technologies in Computing Systems 8, no. 2 (June 1, 2012). https://doi.org/10.1145/2180878.2180885.

Bi, X., C. Zhang, H. Li, Y. Chen, and R. E. Pino. “Spintronic memristor based temperature sensor design with CMOS current reference.” In Proceedings -Design, Automation and Test in Europe, DATE, 1301–6, 2012.

Zhao, B., J. Yang, Y. Zhang, Y. Chen, and H. Li. “Architecting a common-source-line array for bipolar non-volatile memory devices.” In Proceedings -Design, Automation and Test in Europe, DATE, 1451–54, 2012.

Zhang, Y., X. Wang, Y. Li, A. K. Jones, and Y. Chen. “Asymmetry of MTJ switching and its implication to STT-RAM designs.” In Proceedings -Design, Automation and Test in Europe, DATE, 1313–18, 2012.

Chen, X., J. Zeng, Y. Chen, W. Zhang, and H. Li. “Fine-grained dynamic voltage scaling on OLED display.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 807–12, 2012. https://doi.org/10.1109/ASPDAC.2012.6165066.

Chen, Y., Y. Zhang, and P. Wang. “Probabilistic design in spintronic memory and logic circuit.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 323–28, 2012. https://doi.org/10.1109/ASPDAC.2012.6164967.

Chen, Y. C., W. Zhang, and H. Li. “A look up table design with 3D bipolar RRAMs.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 73–78, 2012. https://doi.org/10.1109/ASPDAC.2012.6165051.

Sun, Z., H. Li, and X. Wang. “Magnetic tunnel junction design margin exploration for self-reference sensing scheme.” Journal of Applied Physics 111, no. 7 (April 2012): 7C726–7263. https://doi.org/10.1063/1.3679647.

Chen, Y., H. Li, X. Wang, W. Zhu, W. Xu, and T. Zhang. “A 130 nm 1.2 V/3.3 v 16 Kb spin-transfer torque random access memory with nondestructive self-reference sensing scheme.” IEEE Journal of Solid-State Circuits 47, no. 2 (February 1, 2012): 560–73. https://doi.org/10.1109/JSSC.2011.2170778.

Chen, X., B. Liu, Y. Chen, M. Zhao, C. J. Xue, and X. Guo. “Active compensation technique for the thin-film transistor variations and OLED aging of mobile device displays.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 516–22, 2012. https://doi.org/10.1145/2429384.2429493.

Sun, Z., H. Li, Y. Chen, and X. Wang. “Voltage driven nondestructive self-reference sensing scheme of spin-transfer torque memory.” IEEE Transactions on Very Large Scale Integration (VLSI) Systems 20, no. 11 (January 1, 2012): 2020–30. https://doi.org/10.1109/TVLSI.2011.2166282.

Bi, X., Z. Sun, H. Li, and W. Wu. “Probabilistic design methodology to improve run-time stability and performance of STT-RAM caches.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 88–94, 2012. https://doi.org/10.1145/2429384.2429401.

Wang, Hui, Andrea Megill, Kaiwen He, Alfredo Kirkwood, and Hey-Kyoung Lee. “Consequences of inhibiting amyloid precursor protein processing enzymes on synaptic function and plasticity.” Neural Plasticity 2012 (January 2012): 272374. https://doi.org/10.1155/2012/272374.

Chen, Y., H. Li, Y. Xie, and D. Niu. “Low Power Design of Emerging Memory Technologies.” In Handbook of Energy-Aware and Green Computing. CRC Press, 2012.

Wang, P., W. Zhang, R. Joshi, R. Kanj, and Y. Chen. “A thermal and process variation aware MTJ switching model and its applications in soft error analysis.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 720–27, 2012. https://doi.org/10.1145/2429384.2429541.