Publications

2013

Zhang, L., Z. Chen, J. Joshua Yang, B. Wysocki, N. McDonald, and Y. Chen. “A compact modeling of TiO2-TiO2-x memristor.” Applied Physics Letters 102, no. 15 (April 15, 2013). https://doi.org/10.1063/1.4802206.

Chen, X., Y. Chen, Z. Ma, and F. C. A. Fernandes. “How is energy consumed in smartphone display applications?” In ACM HotMobile 2013: The 14th Workshop on Mobile Computing Systems and Applications, 2013. https://doi.org/10.1145/2444776.2444781.

Chen, Y. C., W. Zhang, and H. H. Li. “A hardware security scheme for RRAM-based FPGA.” In 2013 23rd International Conference on Field Programmable Logic and Applications, FPL 2013 - Proceedings, 2013. https://doi.org/10.1109/FPL.2013.6645556.

Bi, X., M. A. Weldon, and H. Li. “STT-RAM designs supporting dual-port accesses.” In Proceedings -Design, Automation and Test in Europe, DATE, 853–58, 2013. https://doi.org/10.7873/date.2013.180.

Guo, J., W. Wen, Y. Z. Li, S. Li, H. Li, and Y. Chen. “DA-RAID-5: A disturb aware data protection technique for NAND flash storage systems.” In Proceedings -Design, Automation and Test in Europe, DATE, 380–85, 2013. https://doi.org/10.7873/date.2013.087.

Zhao, M., H. Zhang, X. Chen, Y. Chen, and C. J. Xue. “Online OLED dynamic voltage scaling for video streaming applications on mobile devices.” In 2013 International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2013, 2013. https://doi.org/10.1109/CODES-ISSS.2013.6658996.

Liu, B., M. Hu, H. Li, Z. H. Mao, Y. Chen, T. Huang, and W. Zhang. “Digital-assisted noise-eliminating training for memristor crossbar-based analog neuromorphic computing engine.” In Proceedings - Design Automation Conference, 2013. https://doi.org/10.1145/2463209.2488741.

Li, J., L. Shi, Q. Li, C. J. Xue, Y. Chen, and Y. Xu. “Cache coherence enabled adaptive refresh for volatile STT-RAM.” In Proceedings -Design, Automation and Test in Europe, DATE, 1247–50, 2013. https://doi.org/10.7873/date.2013.258.

Li, Y., Y. Zhang, H. Li, Y. Chen, and A. K. Jones. “C1C: A Configurable, Compiler-Guided STT-RAM L1 Cache.” ACM Transactions on Architecture and Code Optimization 10, no. 4 (January 1, 2013): 1–22. https://doi.org/10.1145/2541228.2555308.

Guo, J., J. Yang, Y. Zhang, and Y. Chen. “Low cost power failure protection for MLC NAND flash storage systems with PRAM/DRAM hybrid buffer.” In Proceedings -Design, Automation and Test in Europe, DATE, 859–64, 2013. https://doi.org/10.7873/date.2013.181.

Li, Hong-jun, Zheng-guang Xie, and Wei Hu. “An Image Compression Method using Sparse Representation and Grey Relation.” In PROCEEDINGS OF 2013 IEEE INTERNATIONAL CONFERENCE ON GREY SYSTEMS AND INTELLIGENT SERVICES (GSIS), 53–56, 2013.

2012

Chen, Y. C., W. Wang, H. Li, and W. Zhang. “Non-volatile 3D stacking RRAM-based FPGA.” In Proceedings - 22nd International Conference on Field Programmable Logic and Applications, FPL 2012, 367–72, 2012. https://doi.org/10.1109/FPL.2012.6339206.

Chen, Y., X. Chen, M. Zhao, and C. J. Xue. “Mobile devices user-The subscriber and also the publisher of real-time OLED display power management plan.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 687–90, 2012.

Chen, Y. C., W. Wang, W. Zhang, and H. Li. “uBRAM-based run-time reconfigurable FPGA and corresponding reconfiguration methodology.” In FPT 2012 - 2012 International Conference on Field-Programmable Technology, 80–86, 2012. https://doi.org/10.1109/FPT.2012.6412116.

Li, Y., Y. Zhang, Y. Chen, and A. K. Jones. “Combating write penalties using software dispatch for on-chip MRAM integration.” IEEE Embedded Systems Letters 4, no. 4 (December 1, 2012): 82–85. https://doi.org/10.1109/LES.2012.2216253.

Li, H., Z. Sun, X. Bi, and B. Wysocki. “Spintronic devices: From memory to memristor.” In ICSICT 2012 - 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology, Proceedings, 2012. https://doi.org/10.1109/ICSICT.2012.6467793.

Zhang, Y., L. Zhang, W. Wen, G. Sun, and Y. Chen. “Multi-level cell STT-RAM: Is it realistic or just a dream?” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 526–32, 2012.

Liu, B., Y. Chen, B. Wysocki, and T. Huang. “The circuit realization of a neuromorphic computing system with memristor-based synapse design.” In Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), 7663 LNCS:357–65, 2012. https://doi.org/10.1007/978-3-642-34475-6_43.

Bi, X., H. Li, and X. Wang. “STT-RAM cell design considering CMOS and MTJ temperature dependence.” IEEE Transactions on Magnetics 48, no. 11 (October 29, 2012): 3821–24. https://doi.org/10.1109/TMAG.2012.2200469.

Zhang, Y., W. Wen, and Y. Chen. “The prospect of STT-RAM scaling from readability perspective.” IEEE Transactions on Magnetics 48, no. 11 (October 29, 2012): 3035–38. https://doi.org/10.1109/TMAG.2012.2203589.

Bi, X., H. Li, and J. J. Kim. “Analysis and optimization of thermal effect on STT-RAM based 3-D stacked cache design.” In Proceedings - 2012 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2012, 374–79, 2012. https://doi.org/10.1109/ISVLSI.2012.56.

Shao, Z., Y. Liu, Y. Chen, and T. Li. “Utilizing PCM for energy optimization in embedded systems.” In Proceedings - 2012 IEEE Computer Society Annual Symposium on VLSI, ISVLSI 2012, 398–403, 2012. https://doi.org/10.1109/ISVLSI.2012.81.

Chen, Y. C., H. Li, and W. Zhang. “A novel peripheral circuit for RRAM-based LUT.” In ISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems, 1811–14, 2012. https://doi.org/10.1109/ISCAS.2012.6271619.

Chen, Y. C., H. H. Li, W. Zhang, and R. E. Pino. “The 3-D stacking bipolar RRAM for high density.” IEEE Transactions on Nanotechnology 11, no. 5 (September 17, 2012): 948–56. https://doi.org/10.1109/TNANO.2012.2208759.

Li, Y., Y. Chen, and A. K. Jones. “A software approach for combating asymmetries of non-volatile memories.” In Proceedings of the International Symposium on Low Power Electronics and Design, 191–96, 2012. https://doi.org/10.1145/2333660.2333708.