Publications

2017

Chai, X., Z. Gan, Y. Lu, Y. Chen, and D. Han. “A novel image encryption algorithm based on the chaotic system and DNA computing.” International Journal of Modern Physics C 28, no. 5 (May 1, 2017). https://doi.org/10.1142/S0129183117500693.

Bayram, I., E. Eken, D. Kline, N. Parshook, Y. Chen, and A. K. Jones. “Modeling STT-RAM fabrication cost and impacts in NVSim.” In 2016 7th International Green and Sustainable Computing Conference, IGSC 2016, 2017. https://doi.org/10.1109/IGCC.2016.7892599.

Guo, J., D. Wang, Z. Shao, and Y. Chen. “Data-Pattern-Aware Error Prevention Technique to Improve System Reliability.” IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25, no. 4 (April 1, 2017): 1433–43. https://doi.org/10.1109/TVLSI.2016.2642055.

Chai, X., Z. Gan, K. Yang, Y. Chen, and X. Liu. “An image encryption algorithm based on the memristive hyperchaotic system, cellular automata and DNA sequence operations.” Signal Processing: Image Communication 52 (March 1, 2017): 6–19. https://doi.org/10.1016/j.image.2016.12.007.

Wang, Y., W. Wen, L. Song, and H. Li. “Classification accuracy improvement for neuromorphic computing systems with one-level precision synapses.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 776–81, 2017. https://doi.org/10.1109/ASPDAC.2017.7858418.

Yin, S., D. Kadetotad, B. Yan, C. Song, Y. Chen, C. Chakrabarti, and J. S. Seo. “Low-power neuromorphic speech recognition engine with coarse-grain sparsity.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 111–14, 2017. https://doi.org/10.1109/ASPDAC.2017.7858305.

Min, C., J. Guo, H. Li, and Y. Chen. “Extending the lifetime of object-based NAND flash device with STT-RAM/DRAM hybrid buffer.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 764–69, 2017. https://doi.org/10.1109/ASPDAC.2017.7858416.

Liu, C., Q. Yang, C. Zhang, H. Jiang, Q. Wu, and H. Li. “A memristor-based neuromorphic engine with a current sensing scheme for artificial neural network applications.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 647–52, 2017. https://doi.org/10.1109/ASPDAC.2017.7858397.

Chai, X. L., Z. H. Gan, K. Yuan, Y. Lu, and Y. R. Chen. “An image encryption scheme based on three-dimensional Brownian motion and chaotic system.” Chinese Physics B 26, no. 2 (February 1, 2017). https://doi.org/10.1088/1674-1056/26/2/020504.

Zhang, P., C. Li, T. Huang, L. Chen, and Y. Chen. “Forgetting memristor based neuromorphic system for pattern training and recognition.” Neurocomputing 222 (January 26, 2017): 47–53. https://doi.org/10.1016/j.neucom.2016.10.012.

Li, H. H., P. Ghosal, and T. Theocharides. “Message from the Technical Program Chairs.” In Proceedings - 2016 IEEE International Symposium on Nanoelectronic and Information Systems, INIS 2016, xiii–xiv, 2017. https://doi.org/10.1109/iNIS.2016.007.

Chen, Y. C., Y. Wang, W. Zhang, Y. Chen, and H. H. Li. “In-place logic obfuscation for emerging nonvolatile FPGAs.” In Fundamentals of IP and SoC Security: Design, Verification, and Debug, 277–93, 2017. https://doi.org/10.1007/978-3-319-50057-7_11.

Li, Hai. “Conventional and Neuromorphic Systems Leveraging Emerging Memory Technologies.” In 2017 INTERNATIONAL SYMPOSIUM ON VLSI DESIGN, AUTOMATION AND TEST (VLSI-DAT). IEEE, 2017.

Wen, W., C. Xu, F. Yan, C. Wu, Y. Wang, Y. Chen, and H. Li. “TernGrad: Ternary gradients to reduce communication in distributed deep learning.” In Advances in Neural Information Processing Systems, 2017-December:1510–20, 2017.

Yang, C., H. Li, and Y. Chen. “Nanoscale memory architectures for neuromorphic computing.” In Security Opportunities in Nano Devices and Emerging Technologies, 215–34, 2017. https://doi.org/10.1201/9781315265056.

Chai, X., Y. Chen, and L. Broyde. “A novel chaos-based image encryption algorithm using DNA sequence operations.” Optics and Lasers in Engineering 88 (January 1, 2017): 197–213. https://doi.org/10.1016/j.optlaseng.2016.08.009.

Li, H., and Y. Chen. Nonvolatile memory design: Magnetic, resistive, and phase change, 2017. https://doi.org/10.1201/b11354.

Park, J., H. Li, S. Li, W. Wen, Y. Chen, P. T. P. Tang, and P. Dubey. “Faster cnns with direct sparse convolutions and guided pruning.” In 5th International Conference on Learning Representations, ICLR 2017 - Conference Track Proceedings, 2017.

Li, H. H., Y. Chen, C. Liu, J. P. Strachan, and N. Davila. “Looking Ahead for Resistive Memory Technology: A broad perspective on ReRAM technology for future storage and computing.” IEEE Consumer Electronics Magazine 6, no. 1 (January 1, 2017): 94–103. https://doi.org/10.1109/MCE.2016.2614523.

2016

Yan, B., Z. Li, Y. Chen, and H. Li. “RAM and TCAM designs by using STT-MRAM.” In 2016 16th Non-Volatile Memory Technology Symposium, NVMTS 2016, 18–22, 2016. https://doi.org/10.1109/NVMTS.2016.7781514.

Gu, S., E. H. M. Sha, Q. Zhuge, Y. Chen, and J. Hu. “A Time, Energy, and Area Efficient Domain Wall Memory-Based SPM for Embedded Systems.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35, no. 12 (December 1, 2016): 2008–17. https://doi.org/10.1109/TCAD.2016.2547903.

Wu, Chunpeng, Hsin‐Pai Cheng, Sicheng Li, Hai Helen Li, and Yiran Chen. “ApesNet: a pixel‐wise efficient segmentation network for embedded devices.” IET Cyber-Physical Systems: Theory & Applications 1, no. 1 (December 2016): 78–85. https://doi.org/10.1049/iet-cps.2016.0027.

Song, C., B. Liu, C. Liu, H. Li, and Y. Chen. “Design techniques of eNVM-enabled neuromorphic computing systems.” In Proceedings of the 34th IEEE International Conference on Computer Design, ICCD 2016, 674–77, 2016. https://doi.org/10.1109/ICCD.2016.7753356.

Liu, C., Y. Chen, and H. Li. “Neural processor design enabled by memristor technology.” In 2016 IEEE International Conference on Rebooting Computing, ICRC 2016 - Conference Proceedings, 2016. https://doi.org/10.1109/ICRC.2016.7738693.

Nixon, K. W., X. Chen, and Y. Chen. “Scope - Quality retaining display rendering workload scaling based on user-smartphone distance.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, Vol. 07-10-November-2016, 2016. https://doi.org/10.1145/2966986.2967073.