Publications

2018

Basu, A., J. Acharya, T. Karnik, H. Liu, H. Li, J. S. Seo, and C. Song. “Low-Power, Adaptive Neuromorphic Systems: Recent Progress and Future Directions.” IEEE Journal on Emerging and Selected Topics in Circuits and Systems 8, no. 1 (March 1, 2018): 6–27. https://doi.org/10.1109/JETCAS.2018.2816339.

Chen, F., Z. Li, W. Kang, W. Zhao, H. Li, and Y. Chen. “Process variation aware data management for magnetic skyrmions racetrack memory.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2018-January:221–26, 2018. https://doi.org/10.1109/ASPDAC.2018.8297309.

Li, B., W. Wen, J. Mao, S. Li, Y. Chen, and H. H. Li. “Running sparse and low-precision neural network: When algorithm meets hardware.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2018-January:534–39, 2018. https://doi.org/10.1109/ASPDAC.2018.8297378.

Chen, F., L. Song, and Y. Chen. “ReGAN: A pipelined ReRAM-based accelerator for generative adversarial networks.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2018-January:178–83, 2018. https://doi.org/10.1109/ASPDAC.2018.8297302.

Eken, E., I. Bayram, H. H. Li, and Y. Chen. “Modeling of biaxial magnetic tunneling junction for multi-level cell STT-RAM realization.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2018-January:375–80, 2018. https://doi.org/10.1109/ASPDAC.2018.8297352.

Liu, X., W. Wen, X. Qian, H. Li, and Y. Chen. “Neu-NoC: A high-efficient interconnection network for accelerated neuromorphic systems.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2018-January:141–46, 2018. https://doi.org/10.1109/ASPDAC.2018.8297296.

Jia, X., J. Yang, Z. Wang, Y. Chen, H. H. Li, and W. Zhao. “Spintronics based stochastic computing for efficient Bayesian inference system.” In Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, 2018-January:580–85, 2018. https://doi.org/10.1109/ASPDAC.2018.8297385.

Yan, B., C. Liu, X. Liu, Y. Chen, and H. Li. “Understanding the trade-offs of device, circuit and application in ReRAM-based neuromorphic computing systems.” In Technical Digest - International Electron Devices Meeting, IEDM, 11.4.1-11.4.4, 2018. https://doi.org/10.1109/IEDM.2017.8268371.

Mohanty, S. P., M. Hüebner, C. J. Xue, X. Li, and H. Li. “Guest editorial circuit and system design automation for internet of things.” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37, no. 1 (January 1, 2018): 3–6. https://doi.org/10.1109/TCAD.2017.2779960.

Dong, C., Y. Chen, and B. Zeng. “Generalized inverse optimization through online learning.” In Advances in Neural Information Processing Systems, 2018-December:86–95, 2018.

Liu, C., F. Liu, and H. Li. “Beyond CMOS: Memristor and its application for next generation storage and computing.” In ECS Transactions, 85:115–25, 2018. https://doi.org/10.1149/08506.0115ecst.

Wen, W., Y. Chen, H. Li, Y. He, S. Rajbhandari, M. Zhang, W. Wang, F. Liu, and B. Hu. “Learning intrinsic sparse structures within long short-term memory.” In 6th International Conference on Learning Representations, ICLR 2018 - Conference Track Proceedings, 2018.

Wen, W., Y. Chen, H. Li, Y. He, S. Rajbhandari, M. Zhang, W. Wang, F. Liu, and B. Hu. “Learning intrinsic sparse structures within long short-term memory.” In 6th International Conference on Learning Representations, ICLR 2018 - Conference Track Proceedings, 2018.

2017

Wen, W., C. Xu, C. Wu, Y. Wang, Y. Chen, and H. Li. “Coordinating Filters for Faster Deep Neural Networks.” In Proceedings of the IEEE International Conference on Computer Vision, 2017-October:658–66, 2017. https://doi.org/10.1109/ICCV.2017.78.

Broyde, L., K. Nixon, X. Chen, H. Li, and Y. Chen. “MobiCore: An adaptive hybrid approach for power-efficient CPU management on Android devices.” In International System on Chip Conference, 2017-September:221–26, 2017. https://doi.org/10.1109/SOCC.2017.8226044.

Chen, L., Z. Liu, C. Li, J. Wu, J. Chen, and Y. Chen. “Behaviors of multi-dimensional forgetting memristor models.” In Proceedings IECON 2017 - 43rd Annual Conference of the IEEE Industrial Electronics Society, 2017-January:7417–21, 2017. https://doi.org/10.1109/IECON.2017.8217299.

Chen, W. H., and Y. Chen. “An ensemble approach to activity recognition based on binary sensor readings.” In 2017 IEEE 19th International Conference on E-Health Networking, Applications and Services, Healthcom 2017, 2017-December:1–5, 2017. https://doi.org/10.1109/HealthCom.2017.8210816.

Yan, B., J. Yang, Q. Wu, Y. Chen, and H. Li. “A closed-loop design to enhance weight stability of memristor based neural network chips.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2017-November:541–48, 2017. https://doi.org/10.1109/ICCAD.2017.8203824.

Mao, J., Z. Yang, W. Wen, C. Wu, L. Song, K. W. Nixon, X. Chen, H. Li, and Y. Chen. “MeDNN: A distributed mobile system with enhanced partition and deployment for large-scale DNNs.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2017-November:751–56, 2017. https://doi.org/10.1109/ICCAD.2017.8203852.

Qin, Z., Z. Xu, Q. Dong, Y. Chen, and X. Chen. “VoCaM: Visualization oriented convolutional neural network acceleration on mobile system: Invited paper.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2017-November:835–40, 2017. https://doi.org/10.1109/ICCAD.2017.8203864.

Mao, J., Z. Qin, Z. Xu, K. W. Nixon, X. Chen, H. Li, and Y. Chen. “AdaLearner: An adaptive distributed mobile learning system for neural networks.” In IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD, 2017-November:291–96, 2017. https://doi.org/10.1109/ICCAD.2017.8203791.

Wu, C., W. Wen, T. Afzal, Y. Zhang, Y. Chen, and H. H. Li. “A compact DNN: Approaching GoogLeNet-level accuracy of classification and domain adaptation.” In Proceedings - 30th IEEE Conference on Computer Vision and Pattern Recognition, CVPR 2017, 2017-January:761–70, 2017. https://doi.org/10.1109/CVPR.2017.88.

Guo, J., C. Min, T. Cai, and Y. Chen. “Improving write performance and extending endurance of object-based NAND flash devices.” ACM Transactions on Embedded Computing Systems 17, no. 1 (November 1, 2017). https://doi.org/10.1145/3105924.

Song, C., B. Liu, W. Wen, H. Li, and Y. Chen. “A quantization-aware regularized learning method in multilevel memristor-based neuromorphic computing system.” In NVMSA 2017 - 6th IEEE Non-Volatile Memory Systems and Applications Symposium, 2017. https://doi.org/10.1109/NVMSA.2017.8064465.

Liu, C., F. Liu, and H. Li. “Brain-inspired computing accelerated by memristor technology.” In Proceedings of the 4th ACM International Conference on Nanoscale Computing and Communication, NanoCom 2017, 2017. https://doi.org/10.1145/3109453.3123960.